Few simple VHDL codes

0 comments

Combinational circuits 1. Unsigned 8-bit adder. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder is port(A,B : in std_logic_vector(7 downto 0); SUM : out std_logic_vector(7 downto 0)); end adder; architecture archi of adder is begin SUM <= A...


Read More »

Verification in VLSI

0 comments

General view: • Verification : an unavoidable effort. • It never gives result but gives assurity of correct result. • A mother testing food before serving to baby. IEEE definition: “Confirmation by examination and provisions of objective evidence...


Read More »

ShareThis

Copyright © 2013. VLSI-Simplified.blogspot.com - All Rights Reserved
Customized by: Vinod Kumbhar | Powered by: BS
Designed by: Tilabs